教育资源为主的文档平台

当前位置: 查字典文档网> 所有文档分类> 工程科技> 信息与通信> QUARTUS II软件概述

QUARTUS II软件概述

上传者:刘建君
|
上传时间:2015-04-25
|
次下载

QUARTUS II软件概述

《电力系统仿真技术》作业1-软件概述 任课教师:李军徽

QUARTUS II软件概述

姓名: 方思雨 学号: 2011301030102 班级: 电技111

一、 软件简介 Quartus II 是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。Quartus II支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具。此外,Quartus II 通过和DSP Builder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。Maxplus II 作为Altera的上一代PLD设计软件,由于其出色的易用性而得到了广泛的应用。目前Altera已经停止了对Maxplus II 的更新支持,Quartus II 与之相比不仅仅是支持器件类型的丰富和图形界面的改变。Altera在Quartus II 中包含了许多诸如SignalTap II、Chip Editor和RTL Viewer的设计辅助工具,集成了SOPC和HardCopy设计流程,并且继承了Maxplus II 友好的图形界面及简便的使用方法。

二、 软件的主要功能和应用领域 Quartus II提供了完全集成且与电路结构无关的开发包环境,具有数字逻辑设计的全部特性,包括:可利用原理图、结构框图、VerilogHDL、AHDL和VHDL完成电路描述,并将其保存为设计实体文件;芯片(电路)平面布局连线编辑;LogicLock增量设计方法,用户可建立并优化系统,然后添加对原始系统的性能影响较小或无影响的后续模块;功能强大的逻辑综合工具;完备的电路功能仿真与时序逻辑仿真工具;定时/时序分析与关键路径延时分析;可使用SignalTap II逻辑分析工具进行嵌入式的逻辑分析;支持软件源文件的添加和创建,并将它们链接起来生成编程文件;使用组合编译方式可一次完成整体设计流程;自动定位编译错误;高效的期间编程与验证工具;可读入标准的EDIF网表文件、VHDL网表文件和Verilog网表文件;能生成第三方EDA软件使用的VHDL网表文件和Verilog网表文件。

Altera的Quartus II可编程逻辑软件属于第四代PLD开发平台。该平台支持一个工作组环境下的设计要求,其中包括支持基于Internet的协作设计。Quartus平台与Cadence、ExemplarLogic、 MentorGraphics、Synopsys和Synplicity等EDA供应商的开发工具相兼容。改进了软件的LogicLock模块设计功能,增添 了FastFit编译选项,推进了网络编辑性能,而且提升了调试能力。支持MAX7000/MAX3000等乘积项器件。

三、 软件的界面

QuartusII是Altera公司的FPGA设计软件,与FPGA片内的硬件相关的设计都在这个软件工具中完成。 图1是启动界面。

《电力系统仿真技术》作业1-软件概述 任课教师:李军徽

内容需要下载文档才能查看

图1

图2 是QuartusII软件的菜单。

内容需要下载文档才能查看

图2

图3是File菜单的介绍。

内容需要下载文档才能查看

图3

图4是Project菜单的介绍,这个菜单中常用的只有Add/Remove file in project 。

《电力系统仿真技术》作业1-软件概述 任课教师:李军徽

内容需要下载文档才能查看

图4

图5是Processing菜单的介绍,这个菜单里主要涉及到编译仿真功能。

内容需要下载文档才能查看

图5

图6 是Tools菜单的介绍,这个菜单中主要启动与QuartusII相关的工具

内容需要下载文档才能查看

图6

图7是对工程导航框的介绍,这个导航框告诉设计者,工程中的文件层次和使用的芯片型号。

《电力系统仿真技术》作业1-软件概述 任课教师:李军徽

内容需要下载文档才能查看

图7

图8 是编译窗口,从窗口可以得知编译的进度信息。

内容需要下载文档才能查看

图8

图9 是图形设计的主体的介绍,包括引脚连接等设计注意问题。

内容需要下载文档才能查看

图9

版权声明:此文档由查字典文档网用户提供,如用于商业用途请与作者联系,查字典文档网保持最终解释权!

下载文档

热门试卷

2016年四川省内江市中考化学试卷
广西钦州市高新区2017届高三11月月考政治试卷
浙江省湖州市2016-2017学年高一上学期期中考试政治试卷
浙江省湖州市2016-2017学年高二上学期期中考试政治试卷
辽宁省铁岭市协作体2017届高三上学期第三次联考政治试卷
广西钦州市钦州港区2016-2017学年高二11月月考政治试卷
广西钦州市钦州港区2017届高三11月月考政治试卷
广西钦州市钦州港区2016-2017学年高一11月月考政治试卷
广西钦州市高新区2016-2017学年高二11月月考政治试卷
广西钦州市高新区2016-2017学年高一11月月考政治试卷
山东省滨州市三校2017届第一学期阶段测试初三英语试题
四川省成都七中2017届高三一诊模拟考试文科综合试卷
2017届普通高等学校招生全国统一考试模拟试题(附答案)
重庆市永川中学高2017级上期12月月考语文试题
江西宜春三中2017届高三第一学期第二次月考文科综合试题
内蒙古赤峰二中2017届高三上学期第三次月考英语试题
2017年六年级(上)数学期末考试卷
2017人教版小学英语三年级上期末笔试题
江苏省常州西藏民族中学2016-2017学年九年级思想品德第一学期第二次阶段测试试卷
重庆市九龙坡区七校2016-2017学年上期八年级素质测查(二)语文学科试题卷
江苏省无锡市钱桥中学2016年12月八年级语文阶段性测试卷
江苏省无锡市钱桥中学2016-2017学年七年级英语12月阶段检测试卷
山东省邹城市第八中学2016-2017学年八年级12月物理第4章试题(无答案)
【人教版】河北省2015-2016学年度九年级上期末语文试题卷(附答案)
四川省简阳市阳安中学2016年12月高二月考英语试卷
四川省成都龙泉中学高三上学期2016年12月月考试题文科综合能力测试
安徽省滁州中学2016—2017学年度第一学期12月月考​高三英语试卷
山东省武城县第二中学2016.12高一年级上学期第二次月考历史试题(必修一第四、五单元)
福建省四地六校联考2016-2017学年上学期第三次月考高三化学试卷
甘肃省武威第二十三中学2016—2017学年度八年级第一学期12月月考生物试卷

网友关注

行测题库:行测每日一练言语理解练习题答案11.15
行测题库:行测每日一练常识判断练习题答案11.17
2017北京公务员考试行测最具京味儿考题盘点
2016年3月4日下午北京公务员考试面试真题(结构化)
行测题库:行测每日一练判断推理练习题答案11.14
公务员面试模拟题:“暗访”雾霾能有效么?
2017北京市公务员考试行测深度解读:总题量为135,难度保持不变
行测题库:行测每日一练判断推理练习题答案11.21
2016年3月5日上午北京公务员考试面试真题(结构化)
2017北京市公务员考试申论试卷:提出对策题重“敲”申论之门
2017北京公务员考试行测难度最大和最小的判断推理题目盘点
申论每周一练试题:由阿大葱油饼说开去
行测题库:行测每日一练数量关系练习题11.16
2016年3月1日下午北京公务员考试面试真题(材料题)
历年北京公务员考试行测真题及命题趋势分析
2016年3月5日下午北京公务员考试面试真题(结构化)
2017北京公务员考试行测言语理解冲刺要点梳理
行测题库:行测每日一练常识判断练习题11.17
行测题库:行测每日一练判断推理练习题10.31
2016年3月2日上午北京公务员考试面试真题(结构化)
2017北京公务员考试行测特色题目判断——最“现实”的定义题
2016年3月2日下午北京公务员考试面试真题(结构化)
2016年3月3日下午北京公务员考试面试真题(结构化)
行测题库:行测每日一练言语理解练习题11.15
2016年3月3日上午北京公务员考试面试真题(结构化)
历年北京公务员考试申论真题及命题趋势分析
行测题库:行测每日一练言语理解练习题答案11.01
行测题库:行测每日一练数量关系练习题11.03
2017北京市公务员申论考试:主题考查“创新发展”
行测题库:行测每日一练常识判断练习题答案11.09

网友关注视频

沪教版牛津小学英语(深圳用) 四年级下册 Unit 7
北师大版数学四年级下册3.4包装
【部编】人教版语文七年级下册《老山界》优质课教学视频+PPT课件+教案,安徽省
《小学数学二年级下册》第二单元测试题讲解
外研版英语三起5年级下册(14版)Module3 Unit1
冀教版小学数学二年级下册1
冀教版小学数学二年级下册第二单元《余数和除数的关系》
第五单元 民族艺术的瑰宝_15. 多姿多彩的民族服饰_第二课时(市一等奖)(岭南版六年级上册)_T129830
【部编】人教版语文七年级下册《逢入京使》优质课教学视频+PPT课件+教案,安徽省
沪教版牛津小学英语(深圳用) 四年级下册 Unit 8
沪教版八年级下册数学练习册21.3(3)分式方程P17
3.2 数学二年级下册第二单元 表内除法(一)整理和复习 李菲菲
外研版英语七年级下册module3 unit2第二课时
北师大版数学四年级下册第三单元第四节街心广场
19 爱护鸟类_第一课时(二等奖)(桂美版二年级下册)_T3763925
飞翔英语—冀教版(三起)英语三年级下册Lesson 2 Cats and Dogs
冀教版英语五年级下册第二课课程解读
二年级下册数学第一课
每天日常投篮练习第一天森哥打卡上脚 Nike PG 2 如何调整运球跳投手感?
【部编】人教版语文七年级下册《泊秦淮》优质课教学视频+PPT课件+教案,广东省
外研版八年级英语下学期 Module3
苏科版数学八年级下册9.2《中心对称和中心对称图形》
冀教版小学英语五年级下册lesson2教学视频(2)
人教版二年级下册数学
【部编】人教版语文七年级下册《过松源晨炊漆公店(其五)》优质课教学视频+PPT课件+教案,辽宁省
30.3 由不共线三点的坐标确定二次函数_第一课时(市一等奖)(冀教版九年级下册)_T144342
外研版英语七年级下册module3 unit2第一课时
六年级英语下册上海牛津版教材讲解 U1单词
北师大版八年级物理下册 第六章 常见的光学仪器(二)探究凸透镜成像的规律
外研版英语三起6年级下册(14版)Module3 Unit2