教育资源为主的文档平台

当前位置: 查字典文档网> 所有文档分类> 工程科技> 电子/电路> 数字电路中等占空比分频器的实现

数字电路中等占空比分频器的实现

上传者:陈欣琦
|
上传时间:2015-04-25
|
次下载

数字电路中等占空比分频器的实现

《现代电子技术》年第期总第期新型元器件

内容需要下载文档才能查看

数字电路中等占空比分频器的实现

邓玉元!吴!琼

!西安通信学院!陕西西安!$"2#2#)

摘!要!在数字电路设计中!经常会遇到分频器的设计问题"而设计等占空比分频器的关键是构造半整数分频器"简要介绍等占空比分频器的构成原理!提出了用3并给出了偶数#奇数等占空比分频器的原理0\&实现等占空比分频的方法!电路!运用T用]6&$$计数器的结9WX0DKM\语言对等占空比分频器的主体$"的图形输入法对电路进行了仿真"同时!构进行了描述!并给出了T9WX0DKM"的波形仿真输出"

关键词!占空比%分频器%等占空比分频器%半整数分频器%]6&\

"中图分类号!2##!"$"4!-##)-!#-,#-*=$--!!!!!文献标识码!/!!!!!文章编号!

@1"5(X"#(*+*2K31’1+%(Y(,13I(#AW"52!’#%51(+#A1!((#"5-(3%’(#&0!0-06

#&<=8ZKK9:J.h?C:N;

!#"4?‘9:3CGGK:?A9H?C:1:MH?HKHI4?‘9:#$2#2#)#3B?:9

$#!"#$%&’$1:P??H9DA?FAK?HPIM?:SI9DS9MI:ACK:HIFHBIEFIKI:A?L?PIFMABIGIQ*BIVI9ECFPIM?:?:KHB9DE;;NONPNSN;;C?L?PIFQ*B?MR9IF@F?IED?:HFCPKAIMHBIRF?:A?DI?L?PIF?MHBIAC:MHFKAH?C:CEB9D:HIIFEFIKI:APKHADIEFIKI:ANPNPRNR;ONANO

#?L?PIF@F?:?:MECFS9FPHBIGIHBCPCEBCSHCP?L?PIEFIKI:A?HBB9DEPKHADIS9M?:CEB9DEPKHADIEFIKI:ANP;;ONSNANNK;NANO%#9:P;?L?:BIRFCHCHIA?FAK?HCEB9DEPKHADIEFIKI:A?L?PIFS?HBILI:CPP:KG@IFQ3C:MIKI:HDHBIM?GKD9b30\&#;HNRNANONPON

#H?C:A?FAK?HS?HB;F9B?AM?:KHS9?:T9WX0DKMMFI9D?UIPQ1:CHBIFS9BCSHCAC:MHFKAHS?HB]6&\HBIG9?:R9FHCE"?RRNN#B9DEPKHADIEFIKI:A?L?PIFHBIACK:HIF?MPIMAF?@IP9:P9AACFP?:DBICKHKHS9LICE]6&\?:T9WX0DKM?GKD9b"MNANONP;NHRH?C:I:L?FC:GI:H?MRFIMI:HIPQ

$&&&&]6&\()+,%-#PKHADIEFIKI:A?L?PIFB9DEPKHADIEFIKI:A?L?PIFB9DE?:HIIFEFIKI:A?L?PIFNANONPNANONP;ONP*

经常会遇到分频器的设计’根据!!在数字电路设计中#

不同的设计需求#有时需要偶数分频器#有时需要奇数分频器#有时需要等占空比!输出脉冲波形中正脉冲(负脉冲又称对称波形"输出#有时又需要非等占空比输宽度相同#

出’本文主要讨论等占空比分频器的设计’

计数器的分频系数不外乎奇数和偶数’而任何周期性的非等占空比的计数器的输出经过一次-分频后#其输对任意一个分频系数为7的计数出总是对称的’所以#

连#然后利用异步清#端构成分频系数$2##的等占空比偶数分频器#图"为等占空比!!分频器的逻辑连接图’图-中#与$的3$!-+#!2"!-+#!-"0-#h!#h"#h-以及其-然a#2a#-构成--进制计数器#-分频输出端为h"#后将h的3实现-分频#最后从"接到$!-+#!-"02#h2#h2端得到等占空比!!分频输出’

’为此#器#总可以进行如下变换$要获得等占7$-.

-空比输出#关键是设计半整数分频器’下面就偶数(奇数的半整数分频电路分别加以讨论’

8!偶数等占空比分频器

偶数的半整数仍为整数#分频器设计比较简单’对于分频系数)2用$#的偶数分频器来说#!-+#只需可将外部时钟32块就可以了’如构成2#分频时#0接到!如图2"#然后将h30-h!#h"#h-构成,进制计数器#!接到3完成2实际构成,02##d,^-#!-2/3&码十进制计数器#h2输出为对称2#分频’

当2可以用两级$#$分频器系数$2##时#!-+#级

收稿日期!-##)#)-$

图2!,!-2/3&码十进制计数器

偶数分频器也可以用$且$!2)2构成#!2)2为2)进制计数器#-片级连可以获得更大的分频系数’

9!奇数等占空比分频器

从上面分析可知#奇数等占空比分频器的关键在半整’半整数数分频器!分频系数为-###7Y#Q,等"Q,"Q,,Q,分频器可以由异或门(模7计数器(&[[构成的-分频器组成’图"为在T计9WX0DKM"中采用图形输入法的电路#数器为$!-+#构成的,进制’h2为等占空比+分频输出#

万方数据

-,

内容需要下载文档才能查看

&\1/a%aZ?III

&.’<?IIIQMHPC?A22)!Q9DD;

<=*1*ZACK:HIF,1’

#%"*&\7813&07a*ADVaP1=!’

"$&7.*1=*<8<aa%=8<#*7!!!!!O

<=&ACK:HIF,&%a361*<3*.a<97[ACK:HIF,1’

"=*<8<aa%=8<#*7!&’18=%\HIGR1

/<81=

$a73<’’#ADV%aP!0

<81=!/1[aPd‘#‘*6<=!

&HIGd#!$R

$*6<\’1[#ADV‘<]<=*%=&ADVd‘2‘=!<

EHIGd!HBI:!?R

HIG!!!!$d#&R

<\’<

HIGIGX2&!!!!$dHRR&=&1[!<

=&1[&!<

&=&0a73<’’!<

&IG!O$dHR

&<=&9

当h-为半整数!Q,分频输出!半整数分频器的特点是"$周-分频器输出h由#变2或由2变#的外输入时钟#30期里%模7计数器的状态变化了-次%从而使原本需要7个3%使模7计数0才能完成的计数循环减少了#Q,个30$计数器%得到半整数输出!器变成了模#7Y#Q,

图-!--进制计数器

由图"可知%当hd#时%3\c/d30!h%3\c/d当30!当,进制计数30&0d2时%3\c/d30!2d3器的状态从###%##2%#2#%#22到2##时%h&由#变为2使致使第!个3从而使30d30%0脉冲的下降沿使hd2%

在第!个,进制计数器状态由2##变为###!也就是说%脉冲期间,进制计数器的状态变化了-次%从而产生即;h’d!Q且!Q,分频电路%,;30!而在h端%;hd+;30%呈现对称输出波形%即在h端获得了等占空比的+分频输出!

图,为上述]6&\描述的模,计数器的仿真输出!

图,!模,计数器码仿真输出

任意模7计数器与模,计数器的描述结构完全相同%所不同的仅是计数器的状态数!利用模7计数器的]6&\描述代码在可编程逻辑器件中可以产生模7计数异或门’电路%之后%用原理图输入方式将模7计数器’分频器和便可实现半整数#7Y#Q,$-分频电路连接起来%

等占空比输出#$分频器!-7Y2

;!结!语

图"!等占空比!!分频器的逻辑连接图

图!为图"电路的仿真输出!

本文主要讨论了等占空比分频器的设计!对于偶数分频器%只需将集成计数器合理地级连就可以构成&对于奇数分频器%则需构造一个半整数分频器%才能完成设计!实际上%在等占空比分频器的设计中%可以同时获得非等占空比的分频器!例如%在图-的2#分频器中%h!端可获得占空比为2f!的,分频输出%h"端可获得占空比为

图!!电路仿真结果-f"的,分频输出!在使用中可根据实际情况选择输出!

参!考!文!献

()钱聪Q电子线路分析与设计(西安"陕西人民出版2T)Q

社%-###Q

()侯伯亨%顾新Q西安"西安-]6&\语言与数字电路设计(T)Q

电子科技大学出版社%2++(Q

:!用7W!U实现等占空比分频器

模7计数器可以用集成计数器构成%但当7比较大时%会感到连接比较麻烦!而采用]6&\硬件描述语言%不仅方便%而且质量稳定!以模可实现任意模7计数器%

,计数器为例给出其]6&\描述代码!

作者简介!邓玉元!女!湖南益阳人!副教授"主要从事数字电子技术教学工作"2+)!年出生!

-

内容需要下载文档才能查看

)

万方数据

内容需要下载文档才能查看 内容需要下载文档才能查看

数字电路中等占空比分频器的实现

作者:

作者单位:

刊名:

英文刊名:

年,卷(期):

被引用次数:邓玉元, 吴琼, DENG Yuyuan, WU Qiong西安通信学院,陕西,西安,710106现代电子技术MODERN ELECTRONICS TECHNIQUE2006,29(24)4次

参考文献(2条)

1.钱聪 电子线路分析与设计 2000

2.侯伯亨;顾新 VHDL语言与数字电路设计 1998

本文读者也读过(10条)

1. 汪虹.李宏.WANG Hong.LI Hong 基于FPGA的等占空比任意整数分频器的设计[期刊论文]-现代电子技术2005,28(16)

2. 郭桂良.赵兴.阎跃鹏.GUO Guiliang.ZHAO Xing.YAN Yuepeng 一种新型小数/整数分频器[期刊论文]-微电子学2008,38(3)

3. 王世元.谢开明.石亚伟.陈孟钢.龙正吉.WANG Shi-yuan.XIE Kai-ming.SHI Ya-wei.CHEN Meng-gang.LONG Zheng-ji 一种新的可控分频器的FPGA实现[期刊论文]-西南大学学报(自然科学版)2007,29(1)

4. 于彤.马社祥.郭琨.YU Tong.MA She-xiang.GUO kun 基于FPGA的等占空比的整数分频器设计[期刊论文]-天津理工大学学报2008,24(5)

5. 高清运.李学初 CMOS高性能奇数分频器的设计[期刊论文]-电子学报2004,32(5)

6. 韩丽英 基于EDA技术的数控分频器设计[期刊论文]-数字技术与应用2009(11)

7. 林海波 基于CPLD/FPGA的半整数分频器的设计[期刊论文]-国外电子元器件2003(9)

8. 裴会新.裴东.王全洲.陶中幸.PEI Hui-xin.PEI Dong.WANG Quan-zhou.TAO Zhong-xing 基于VHDL占空比可控的整数半整数分频器[期刊论文]-科学技术与工程2010,10(29)

9. 尹辉炳.张涛.YIN Huibing.ZHANG Tao 基于VHDL的全数字分数分频器设计[期刊论文]-科学技术与工程2006,6(12)

10. 高凡.贾磊磊.GAO Fan.JIA Lei-lei 基于FPGA的半整数分频器的设计[期刊论文]-科技信息2010(19)

引证文献(4条)

1.郭振铎.刘洲峰.徐庆伟.朱永胜 一种基于Verilog的FPGA分频设计[期刊论文]-成组技术与生产现代化 2010(3)

2.周子昂.王福源.魏军辉 基于FPGA的通用分频器设计[期刊论文]-微计算机信息 2009(8)

3.张坤.徐慧 基于MSP430的智能汽车防撞系统[期刊论文]-电脑知识与技术 2013(28)

4.程耀林 一种通用分频器的设计与CPLD实现[期刊论文]-中南民族大学学报(自然科学版) 2008(4)

引用本文格式:邓玉元.吴琼.DENG Yuyuan.WU Qiong 数字电路中等占空比分频器的实现[期刊论文]-现代电子技术2006(24)

版权声明:此文档由查字典文档网用户提供,如用于商业用途请与作者联系,查字典文档网保持最终解释权!

下载文档

热门试卷

2016年四川省内江市中考化学试卷
广西钦州市高新区2017届高三11月月考政治试卷
浙江省湖州市2016-2017学年高一上学期期中考试政治试卷
浙江省湖州市2016-2017学年高二上学期期中考试政治试卷
辽宁省铁岭市协作体2017届高三上学期第三次联考政治试卷
广西钦州市钦州港区2016-2017学年高二11月月考政治试卷
广西钦州市钦州港区2017届高三11月月考政治试卷
广西钦州市钦州港区2016-2017学年高一11月月考政治试卷
广西钦州市高新区2016-2017学年高二11月月考政治试卷
广西钦州市高新区2016-2017学年高一11月月考政治试卷
山东省滨州市三校2017届第一学期阶段测试初三英语试题
四川省成都七中2017届高三一诊模拟考试文科综合试卷
2017届普通高等学校招生全国统一考试模拟试题(附答案)
重庆市永川中学高2017级上期12月月考语文试题
江西宜春三中2017届高三第一学期第二次月考文科综合试题
内蒙古赤峰二中2017届高三上学期第三次月考英语试题
2017年六年级(上)数学期末考试卷
2017人教版小学英语三年级上期末笔试题
江苏省常州西藏民族中学2016-2017学年九年级思想品德第一学期第二次阶段测试试卷
重庆市九龙坡区七校2016-2017学年上期八年级素质测查(二)语文学科试题卷
江苏省无锡市钱桥中学2016年12月八年级语文阶段性测试卷
江苏省无锡市钱桥中学2016-2017学年七年级英语12月阶段检测试卷
山东省邹城市第八中学2016-2017学年八年级12月物理第4章试题(无答案)
【人教版】河北省2015-2016学年度九年级上期末语文试题卷(附答案)
四川省简阳市阳安中学2016年12月高二月考英语试卷
四川省成都龙泉中学高三上学期2016年12月月考试题文科综合能力测试
安徽省滁州中学2016—2017学年度第一学期12月月考​高三英语试卷
山东省武城县第二中学2016.12高一年级上学期第二次月考历史试题(必修一第四、五单元)
福建省四地六校联考2016-2017学年上学期第三次月考高三化学试卷
甘肃省武威第二十三中学2016—2017学年度八年级第一学期12月月考生物试卷

网友关注

青海公务员行测数量关系模拟题答案
2018青海公务员考试申论试题
青海公务员行测言语理解练习题
青海公务员结构化面试模拟题
青海公务员行测定义判断模拟题
青海公务员申论每周一练答案:“零彩礼”引领社会新风尚
青海公务员行测言语理解模拟题03
2018青海公务员考试行测试题参考答案及解析
2018青海公务员考试申论(县乡)试题参考答案要点
青海公务员面试热点模拟题:如此脆弱的医患关系
2018青海公务员考试行测试题
青海公务员行测言语理解模拟题02
青海公务员申论模拟题:当代国人价值观存在的问题
青海公务员行测逻辑判断练习题
2018年421多省公务员考试行测试题总体解读:推陈出新,难度略升
青海公务员行测言语理解模拟题01
青海公务员行测类比推理练习题答案
青海公务员行测言语理解练习题答案
2018青海公务员考试申论真题解读
青海公务员结构化面试模拟题答案
青海公务员常识判断练习题答案
青海公务员行测模拟题答案01
青海公务员行测逻辑判断练习题答案
青海公务员行测判断推理练习题答案
青海公务员申论模拟题:网络文学发展面临的问题
青海公务员申论模拟题:什么是信仰?
青海公务员行测定义判断模拟题答案
青海公务员面试热点模拟题:家长走进学堂 子女入学加分
2018青海公务员考试行测试题深度解读:数量关系难度上升
青海公务员面试热点模拟题:“直播答题”的真相是什么?

网友关注视频

北师大版数学 四年级下册 第三单元 第二节 小数点搬家
3月2日小学二年级数学下册(数一数)
沪教版牛津小学英语(深圳用) 四年级下册 Unit 7
冀教版小学数学二年级下册第二单元《租船问题》
外研版英语七年级下册module1unit3名词性物主代词讲解
人教版历史八年级下册第一课《中华人民共和国成立》
第8课 对称剪纸_第一课时(二等奖)(沪书画版二年级上册)_T3784187
冀教版小学数学二年级下册第二单元《余数和除数的关系》
化学九年级下册全册同步 人教版 第25集 生活中常见的盐(二)
六年级英语下册上海牛津版教材讲解 U1单词
沪教版牛津小学英语(深圳用) 五年级下册 Unit 10
8.对剪花样_第一课时(二等奖)(冀美版二年级上册)_T515402
第五单元 民族艺术的瑰宝_16. 形形色色的民族乐器_第一课时(岭南版六年级上册)_T3751175
冀教版小学数学二年级下册第二单元《有余数除法的竖式计算》
冀教版英语五年级下册第二课课程解读
北师大版八年级物理下册 第六章 常见的光学仪器(二)探究凸透镜成像的规律
【部编】人教版语文七年级下册《老山界》优质课教学视频+PPT课件+教案,安徽省
人教版二年级下册数学
《小学数学二年级下册》第二单元测试题讲解
外研版英语七年级下册module3 unit1第二课时
8.练习八_第一课时(特等奖)(苏教版三年级上册)_T142692
沪教版牛津小学英语(深圳用) 五年级下册 Unit 12
【部编】人教版语文七年级下册《逢入京使》优质课教学视频+PPT课件+教案,安徽省
化学九年级下册全册同步 人教版 第22集 酸和碱的中和反应(一)
苏科版数学七年级下册7.2《探索平行线的性质》
沪教版牛津小学英语(深圳用) 四年级下册 Unit 3
小学英语单词
冀教版小学数学二年级下册第二周第2课时《我们的测量》宝丰街小学庞志荣
精品·同步课程 历史 八年级 上册 第15集 近代科学技术与思想文化
苏科版八年级数学下册7.2《统计图的选用》