教育资源为主的文档平台

当前位置: 查字典文档网> 所有文档分类> 论文> 其他论文> EDA数字秒表的设计程序

EDA数字秒表的设计程序

上传者:网友
|
翻新时间:2023-08-07

EDA数字秒表的设计程序

程序说明:1。此程序包括分频,计数控制,显示控制部分。

2。开机显示00。00。00,用户课随时计时,暂停,清零,最大计时可到59 分59。99秒。

3。技术时钟为100HZ

4。采用时分复用的方法控制4个数码管的显示,可节省资源。

程序如下:

Library ieee;

Use ieee.std_logic_1164.all;

Use ieee.std_logic_arith.all;

Use ieee.std_logic_unsigned.all;

Entity watch is

Port(sel: out std_logic_vector(6 downto

1) ;

seg:out std_logic_vector(7 downto 0);

Beginstop:in std_logic;

Reset:in std_logic;

Cp2:in std_logic);

End watch;

Architecture behave of watch is

Signal num1: std_logic_vector(3 downto 0);

Signal num2: std_logic_vector(3 downto 0);

Signal num3: std_logic_vector(3 downto 0);

Signal num4: std_logic_vector(3 downto 0);

Signal num5: std_logic_vector(3 downto 0);

Signal num6: std_logic_vector(3 downto 0);

Signal num: std_logic_vector(3 downto 0);

Signal numlet: std_logic_vector(2 downto 0);

Signal count: std_logic_vector(17 downto

1);

Signal selsig: std_logic_vector(6 downto

1);

Signal segsig: std_logic_vector(7 downto 0 );

Signal cp1: std_logic;

Signal cp3: std_logic;

Begin

Process(cp

2) ---- 分频。

Begin

If (cp2' event and cp2='1') then

if (Count="1100011010011111")then

count<="00000000000000000"; cp1<=not cp1;

else count<=count 1;

end if;

end if;

cp3<=count

(10);

end process;

process(cp

1)

begin

if reset ='1' then num1(3 downto 0) <="0000";

num2(3 downto 0) <="0000";

num3(3 downto 0) <="0000";

num4(3 downto 0) <="0000";

num5(3 downto 0) <="0000";

num6(3 downto 0) <="0000";

else if cp1' event and cp1='1' then

if beginstop='1' then num1<=num1 1;

if num1 (3 downto 0)="1001" then if num2 (3 downto 0)="1001" then

num2 (3 downto 0)<="0000"; num3<= num3 1;

if num3 (3 downto 0)="1001" then

num3 (3 downto 0)<="0000"; num4<= num4 1;

if num4 (3 downto 0)="0101" then

num4 (3 downto 0)<="0000"; num5<= num5 1;

if num5 (3 downto 0)="1001" then

num5 (3 downto 0)<="0000"; num6<= num6 1;

if num6 (3 downto 0)="0101" then

num6(3 downto 0)<="0000";

end if; end if; end if; end if; end if; end if; end if;

end if; end if;

end process;

process (cp

3)

begin

if (cp3' event and cp3='1')then

if(numlet(2 downto 0)="000") then

num<=num1;selsig(6 downto

1)<="111110"; end if;

if(numlet(2 downto 0)="001") then

num<=num2;selsig(6 downto

1)<="111101"; end if;

if(numlet(2 downto 0)="010") then

num<=num3;selsig(6 downto

1)<="111011"; end if;

if(numlet(2 downto 0)="011") then

num<=num4;selsig(6 downto

1)<="110111"; end if;

if(numlet(2 downto 0)="100") then

num<=num5;selsig(6 downto

1)<="101111"; end if;

numlet(2 downto 0)<=numlet(2 downto 0) 1;

if(numlet(2 downto 0)="101") then

numlet(2 downto 0)<="011111"; end if;

end if;

if (num (3 downto 0)="0000")then

segsig(7 downto 0)<="01111111"; end if;

if (num (3 downto 0)="0001")then

segsig(7 downto 0)<="00001101"; end if;

if (num (3 downto 0)="0010")then

segsig(7 downto 0)<="10110111"; end if;

if (num (3 downto 0)="0011")then

segsig(7 downto 0)<="10011111"; end if;

if (num (3 downto 0)="0100")then

segsig(7 downto 0)<="11001101"; end if;

if (num (3 downto 0)="0101")then

segsig(7 downto 0)<="11011011"; end if;

if (num (3 downto 0)="0110")then

segsig(7 downto 0)<="11111011"; end if;

if (num (3 downto 0)="0111")then

segsig(7 downto 0)<="00001111"; end if;

if (num (3 downto 0)="1000")then

segsig(7 downto 0)<="11111111"; end if;

if (num (3 downto 0)="1001")then

segsig(7 downto 0)<="11011111"; end if;

end process;

sel<=selsig;

seg(7 downto 0)<=segsig(7 downto 0);

end behave;

下载文档

版权声明:此文档由查字典文档网用户提供,如用于商业用途请与作者联系,查字典文档网保持最终解释权!

网友最新关注

The litte green man
A Dream
Last Weekend
Mr. Wang
My Teacher‘s House(我的老师的房子)
My Family
写自己喜欢吃的食物
My father
My Family Members
When I grow up
My friend
My House
Chinase New Year is coming soon
A pair of socks
Baking a cake
五大步帮你提高求职面试的成功率
如何巧避面试中四大陷阱
外企面试考官最爱提的十个问题
应聘者:你会侃薪水吗
专家教你简历和面试技巧
应聘时如何面对缺点
求职:如何应对无答案面试题?
就业面试应具备的心态
毕业生求职经验谈:早做准备最重要
求职面试必考题大公开
面试时怎样不紧张
如何面试总经理女秘书?
外企面试有门道 你做好准备了吗?
招聘单位,邀你玩游戏!
应聘绝招:重点突出你的优势!
关于蒙药独特传统炮制技术简述
2种茶饮料的研制及营养评价
计算机网络技术专业课程改革
无线接入技术及其发展特点
帕罗西汀治疗紧张性头痛46例分析
浅析大学生网络成瘾的危害与对策
利用VB实现对IE的调用与控制
计算机网络安全的防范探索
ASP.Net中程序构架与程序代码的分离
保障网络及网站系统安全的技术方法
中药注射剂的合理应用
网络教学管理平台的建设探微
缓冲区溢出攻击的分析及防范策略
抗感冒药的不良反应
计算机网络安全问题的原因
《草船借箭》美文欣赏二
《人物描写一组》重点字词梳理
《草船借箭》美文欣赏一
《景阳冈》考点练兵 积累篇
《景阳冈》教案设计之一
《景阳冈》同步作文训练素材
《景阳冈》教案设计之二
《景阳冈》作家作品及写作背景
《景阳冈》重点问题探究
《景阳冈》整体阅读感知
《景阳冈》同步作文范文欣赏
《景阳冈》同步作文写作指导
《景阳冈》美文欣赏二
《景阳冈》美文欣赏一
《人物描写一组》重点与难点提示