教育资源为主的文档平台

当前位置: 查字典文档网> 所有文档分类> 工程科技> 兵器/核科学> 基于EMP7128的数字式相位测量仪_尹佳喜

基于EMP7128的数字式相位测量仪_尹佳喜

上传者:李正熙
|
上传时间:2015-04-29
|
次下载

基于EMP7128的数字式相位测量仪_尹佳喜

-24-○应用与设计

《国外电子元器件》2004年第4期2004年4月

基于EMP7128的数字式相位测量仪

尹佳喜,

尹仕,

余密

430074)

(华中科技大学电工电子创新中心,湖北武汉

摘要:分析了基于Altera公司CPLD芯片EMP7128SLC84-15进行相位测量的基本原理,给出了用EMP7128SLC8415进行相位测量的硬件实现电路及VHDL源程序。

关键词:EMP7128SLC84-15;CPLD;相位;频率

分类号:TM935文献标识码:B文章编号:1006-6977(2004)04-0024-03

DigitalPhaseMetricalApparatusBasedonEMP7128

YINJia_xi,

YINShi,

YUMi

Abstract:Inthispaper,theprincipleofphasemetricalapparatusbasedonCPLDEMP7128SLC84-15ofAlter-acompanyisanalyzed,andthehardwarecircuitandtheVHDLprogramarealsogiven.Keywords:EMP7128SLC84-15;CPLD;phase;frequency

1器件简介

EMP7128SLC84-15是Altera公司的MAX7000S系列CPLD,它采用CMOS工艺,并以第二代矩阵结构为基础,实际上也是一种基于E2PROM的器件。RA0引脚接入的模拟电压可经A/D转换成数字信号。系统中的A/D模块有3个寄存器分别为:A/D转换结果寄存器(ADRES)、A/D控制寄存器0(ADCON0)和A/D控制寄存器1(ADCON1)。其中A/D控制寄存器0用于控制A/D模块的工作,寄存器的上电复位值是00H,未用位在执行读操作时为0,不能执行写操作,其它位均可读写。A/D控制寄存器1则只用了0~2位,这3位是A/D转换口配置位,通过这些位可将模拟口配置成不同的工作方式,包括模拟量输入输出、数字量输入输出以及参考电压。

以RA0通道为例,其A/D转换程序如下:BSFSTATUS,RP0;选择页面1CLRFBSFBCFMOVLWBCF

ADCON1PIE1,ADIESTATUS,RP00XC1PIR1,ADIF

;配置A/D输入;使能A/D中断;选择页面0;时钟、A/D接通;

;清除A/D中断标志

EMP7128SLC84-15有84个引脚,其中5根用于ISP

(InSystemProgrammable)下载,可方便地对其进行在系统编程。此器件内集成了6000门,其中典型可用门为2500个,有128个逻辑单元,60个可用I/O口,可单独配置为输入、输出及双向工作方式,2个全局

BCFBCF

INTCON,PEIEINTCON,GIE

;使能外围功能;使能所有中断

3结束语

本装置对需要温湿度实时控制的工作场合是非

常必要的,并且具有较大的应用价值。由于采用了一线数字温度传感器DS1820来实现多点温度采样,所以可实现多点温度采样的集中控制。配置的RS-232接口可使多个这样的装置方便地与上位机(计算机)互联,以实现温湿度的测控。该装置现已成功地应用于程控机房中,效果较好。

参考文献

[1]公茂法,等.单片机人机接口实例集[M].北京:北京航空航天大学出版社,1998.

[2]陆英.基于PIC单片机的控制系统的设计[J].微型机与应用,1999(4).[3]台湾WINBAND公司.W51300数据手册.

收稿日期:2003-09-08

咨询编号:040407

MOVWFADCON0

基于EMP7128的数字式相位测量仪

end;

architecturedataoffcounteris

signaltemp:stdlogicvector(19downto0);begin

P1:sig)process(

begin

ifsig'eventandsig=`1'then

图1工作波形图

-25-

时钟及一个全局使能端和一个全局清除端。EMP7128SLC84-15支持多电压工作,其传输延时为7.5ns,最高工作频率高达125MHz,并支持多种编程

方式,同时可利用Altera公司的第三代开发软件Max+PlusII方便地进行仿真、综合和下载。

ifclk=`1'then

temp<=temp+1;--在闸门的高电

平时段计数

else

temp<=“00000000000000000000”;

--在闸门的低电平时段清零

endif;endif;

endprocessP1;P2:clk)process(

begin

ifclk′eventandclk=′0′then

counter<=tempendif;

endprocessP2;end;

由于闸门采用的是0.5Hz的方波,因此,输出数值即为频率值。(2)分频模块

通过此模块可对频率计得到的频率进行分频,也可在异或后再分频得到频率为0.5Hz的矩形波。libraryieee;

useieee.stdlogic1164.all;useieee.stdlogicunsigned.all;

entityfenis

instdlogicvector(19downto0);--连接频port(qin:

率计输出的频率值qout:outstdlogic);end;

;在闸门的下降沿将数据读出

2系统工作原理

图1所示是一个数字式相位测量仪的系统工作

示意图。图中,输入的比较信号b与参照信号a,经参数相同的整形电路变换为正方波后,将两个方波

进行异或(在CPLD中完成),同时与测得信号的频率f(由CPLD设计一频率计完成)再异或,然后将得到的信号经2f倍频,再将此信号作为闸门,并在其高电平时段利用高频时钟fc进行计数,最后在下降沿时将计数值读出并设为N,则相位为:

Phase=180°N/fc

该相位测量仪表系统除整形电路外,其余均可由CPLD完成。计数所使用的晶振频率为4MHz时,此系统的分辨率为180°/(4×10)=(4.5×10)°。

6

-5

3基于CPLD的程序设计

设计系统软件时,运用VHDL语言,可将系统分

为频率计、分频器、相位计数器3个子模块,现对其分别进行描述:

(1)频率计

libraryieee;useieee.stdlogic1164.all;useieee.stdlogicunsigned.all;entityfcounteris

sig:instdlogic;--输入信号port(

clk:instdlogic;--0.5Hz的闸门信号,可

由晶振分频得到

counter:outstdlogicvector(19downto0));

--计数输出

图2

内容需要下载文档才能查看 内容需要下载文档才能查看

硬件原理图

-26-

《国外电子元器件》2004年第4期2004年4月

ifs2=′0′then

′1′;--若s1超前s2,输出为pre<=

′1′,否则输出′0′

else

′0′;pre<=endif;

architecturedataoffenis

signaltemp:stdlogicvector(19downto0);signala:stdlogic;begin

temp)process(

begin

iftemp<qinthentemp<=temp+1;else

temp<=“00000000000000000000”;a<=nota;endif;

a;--进行2f倍分频qout<=

endprocess;end;

(3)相位测量

该模块将分频模块得到的信号作为闸门,然后利用外部晶振进行计数,其设计原理与频率计相同。由于相异或的一个周期对应输入的两路方波信号的半个周期(180°),而且只能测量到最大180°的相位差,因此,还须判断超前或滞后,才能测量出大于180°的相位差,具体程序如下:libraryieee;

useieee.stdlogic1164.all;entityprelagis

port(s1,s2:instdogic;

outstdlogic);pre:end;

architecturedataofprelagis

begin

lag:s1)process(

begin

ifs1′eventands1=′1′then

--两输入信号

--判断结果输出

end;

endif;

endprocesslag;

(4)模块的组合

在Max+PlusII中,采用原理图输入方式可将上述各模块组合成一个软件系统,然后将其综合下载到CPLD即可完成相位测量仪的设计,其具体设计图见图3所示。

图3中,A、B为输入信号a、b经过整形得到的方波信号。Fcounter为频率计模块,Fen为分频器,Phasecounter为相位测量计数器,Pre-lag为超前滞后判断模块。

4结束语

基于CPLD逻辑器件EMP7128SLC84-15构成

的相位测量系统具有测量频带宽、分辨率高、误差小、成本低、简单易行等优点,完全能够满足实际测量的要求。而且,由于完全采用的是CPLD设计,因此,该系统十分易于升级。

参考文献1.王振红.VHDL数字电路设计与应用实践教程[M].北京:机械工业出版社,2003.

2.赵俊超,等.集成电路设计VHDL教程[M].北京:北京希望电子出版社,2002.

收稿日期:2003-09-26

咨询编号:040408

内容需要下载文档才能查看

图3CPLD设计图

版权声明:此文档由查字典文档网用户提供,如用于商业用途请与作者联系,查字典文档网保持最终解释权!

下载文档

热门试卷

2016年四川省内江市中考化学试卷
广西钦州市高新区2017届高三11月月考政治试卷
浙江省湖州市2016-2017学年高一上学期期中考试政治试卷
浙江省湖州市2016-2017学年高二上学期期中考试政治试卷
辽宁省铁岭市协作体2017届高三上学期第三次联考政治试卷
广西钦州市钦州港区2016-2017学年高二11月月考政治试卷
广西钦州市钦州港区2017届高三11月月考政治试卷
广西钦州市钦州港区2016-2017学年高一11月月考政治试卷
广西钦州市高新区2016-2017学年高二11月月考政治试卷
广西钦州市高新区2016-2017学年高一11月月考政治试卷
山东省滨州市三校2017届第一学期阶段测试初三英语试题
四川省成都七中2017届高三一诊模拟考试文科综合试卷
2017届普通高等学校招生全国统一考试模拟试题(附答案)
重庆市永川中学高2017级上期12月月考语文试题
江西宜春三中2017届高三第一学期第二次月考文科综合试题
内蒙古赤峰二中2017届高三上学期第三次月考英语试题
2017年六年级(上)数学期末考试卷
2017人教版小学英语三年级上期末笔试题
江苏省常州西藏民族中学2016-2017学年九年级思想品德第一学期第二次阶段测试试卷
重庆市九龙坡区七校2016-2017学年上期八年级素质测查(二)语文学科试题卷
江苏省无锡市钱桥中学2016年12月八年级语文阶段性测试卷
江苏省无锡市钱桥中学2016-2017学年七年级英语12月阶段检测试卷
山东省邹城市第八中学2016-2017学年八年级12月物理第4章试题(无答案)
【人教版】河北省2015-2016学年度九年级上期末语文试题卷(附答案)
四川省简阳市阳安中学2016年12月高二月考英语试卷
四川省成都龙泉中学高三上学期2016年12月月考试题文科综合能力测试
安徽省滁州中学2016—2017学年度第一学期12月月考​高三英语试卷
山东省武城县第二中学2016.12高一年级上学期第二次月考历史试题(必修一第四、五单元)
福建省四地六校联考2016-2017学年上学期第三次月考高三化学试卷
甘肃省武威第二十三中学2016—2017学年度八年级第一学期12月月考生物试卷

网友关注视频

沪教版八年级下次数学练习册21.4(2)无理方程P19
第4章 幂函数、指数函数和对数函数(下)_六 指数方程和对数方程_4.7 简单的指数方程_第一课时(沪教版高一下册)_T1566237
外研版英语三起6年级下册(14版)Module3 Unit1
19 爱护鸟类_第一课时(二等奖)(桂美版二年级下册)_T3763925
冀教版小学数学二年级下册第二周第2课时《我们的测量》宝丰街小学庞志荣
沪教版牛津小学英语(深圳用) 六年级下册 Unit 7
冀教版英语三年级下册第二课
每天日常投篮练习第一天森哥打卡上脚 Nike PG 2 如何调整运球跳投手感?
【部编】人教版语文七年级下册《老山界》优质课教学视频+PPT课件+教案,安徽省
沪教版牛津小学英语(深圳用) 四年级下册 Unit 8
青岛版教材五年级下册第四单元(走进军营——方向与位置)用数对确定位置(一等奖)
小学英语单词
苏科版八年级数学下册7.2《统计图的选用》
二年级下册数学第一课
沪教版牛津小学英语(深圳用)五年级下册 Unit 1
沪教版八年级下册数学练习册一次函数复习题B组(P11)
冀教版英语四年级下册第二课
苏教版二年级下册数学《认识东、南、西、北》
北师大版数学 四年级下册 第三单元 第二节 小数点搬家
《空中课堂》二年级下册 数学第一单元第1课时
冀教版小学英语四年级下册Lesson2授课视频
人教版二年级下册数学
外研版英语三起5年级下册(14版)Module3 Unit1
【部编】人教版语文七年级下册《老山界》优质课教学视频+PPT课件+教案,安徽省
外研版英语七年级下册module1unit3名词性物主代词讲解
飞翔英语—冀教版(三起)英语三年级下册Lesson 2 Cats and Dogs
【部编】人教版语文七年级下册《逢入京使》优质课教学视频+PPT课件+教案,辽宁省
沪教版八年级下册数学练习册20.4(2)一次函数的应用2P8
第8课 对称剪纸_第一课时(二等奖)(沪书画版二年级上册)_T3784187
七年级英语下册 上海牛津版 Unit9